آموزش VHDL – قسمت دهم: ارتباط FPGA با حافظه SRAM

ارتباط FPGA با حافظه SRAM با سلام خدمت همه دوستداران طراحی دیجیتال با FPGA و به خصوص همراهان پازج چندی پیش در پستی با عنوان "یک SRAM Controller ساده و کارآمد جهت خواندن و نوشتن در SRAM" به نحوه خواندن و نوشتن در SRAM، با یک SRAM Controller پرداختیم. به درخواست تعداد زیادی از دوستان [...]

آموزش ویدئویی طراحی Embedded sytem با FPGA (قسمت دوم: راه اندازی حافظه SRAM)

راه اندازی حافظه SRAM در ادامه قسمت اول، در این آموزش قصد داریم در طراحی Embedded sytem خود در FPGA از تراشه SRAM روی برد پازج1 به عنوان حافظه برنامه استفاده کنیم. با تکمیل مثال قسمت اول آموزش و اضافه کردن واحد external memory controller ارتباط بین پردازنده و تراشه حافظه SRAM برقرار می شود [...]

یک SRAM Controller ساده و کارآمد جهت خواندن و نوشتن در SRAM

حافظه SRAM سلام در این پروژه ی نمونه، به منظور آشنایی با نحوه ی خواندن و نوشتن در SRAM، با یک SRAM Controller ساده اما کارآمد آشنا خواهیم شد که برگرفته از مثال ارائه شده در بخش 11.4.5 کتاب معروف "FPGA Prototyping by Verilog Examples" بوده که بر روی برد پازج-یک پورت شده است. در [...]

۱۳۹۷/۱۲/۱۷ ،۲۰:۵۴:۱۵ +۰۰:۰۰ ۱۵ بهمن, ۱۳۹۴|آموزش ها, پروژه‌های نمونه, ویژه دسته بندی ها|برچسب ها: , , , |دیدگاه‌ها برای یک SRAM Controller ساده و کارآمد جهت خواندن و نوشتن در SRAM بسته هستند