ارتباط FPGA با حافظه SRAM

با سلام خدمت همه دوستداران طراحی دیجیتال با FPGA و به خصوص همراهان پازج

چندی پیش در پستی با عنوان “یک SRAM Controller ساده و کارآمد جهت خواندن و نوشتن در SRAM” به نحوه خواندن و نوشتن در SRAM، با یک SRAM Controller پرداختیم.

به درخواست تعداد زیادی از دوستان در ادامه مجموعه ویدئویی آموزش FPGA به زبان VHDL این بار به سراغ آموزش ارتباط با حافظه های SRAM رفته ایم. در پایان تماشای این ویدئو شما قادر خواهید بود به ساده ترین شکل ممکن حافظه sram را راه اندازی کرده و عملیات read و write را انجام دهید.

امیدواریم  این آموزش نیز مورد توجه شما قرار گرفته و با نظرات سازنده تان ما را در ادامه این مسیر همراهی کنید.

تماشای ویدئو

دانلود ویدئو با کیفیت بالا – فرمت h.264 (حجم 74 مگابایت)

دانلود ویدئو با کیفیت بالا و فشرده (حجم 14 مگابایت)

دانلود کد مثال های اجرا شده در ویدئو