ارتباط سریال (UART) در FPGA

با سلام
طبق قولی که داده شده بود در قسمت نهم از سری ویدئوهای آموزش FPGA به معرفی و بررسی یک پروژه ساده پرداخته ایم
در این قسمت کد ارتباط سریال با کامپیوتر در اختیارتان قرار گرفته و با یک ماشین حالت بسیار ساده عملیات ارسال و دریافت تست می شود

تماشای ویدئو

دانلود

دانلود ویدئو با کیفیت بالا – فرمت h.264 (حجم 76 مگابایت)

دانلود ویدئو با کیفیت بالا و فشرده (حجم 14 مگابایت)

دانلود کد مثال های اجرا شده در ویدئو